tag:blogger.com,1999:blog-73346168897450907362024-02-07T11:02:29.643-08:00ELECTRONICS PROJECTSKiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comBlogger111125tag:blogger.com,1999:blog-7334616889745090736.post-25953965012062632922018-06-02T23:17:00.000-07:002018-06-02T23:17:23.042-07:00Printed Circuit Board<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Printed Circuit Board or PCB is a board where electronics components are mounted and connected electrically using conductive tracks, pads or through multiple layers. PCB also provides mechanical support to the electronic components. Electronic components are mounted on the PCB using the soldering. To know more about the soldering please read our article about <a href="https://theelectronicsproject.blogspot.in/2013/04/how-to-solder-soldering-tutorial.html">soldering</a>.</span><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgPLBcwlIb8g7ZC15XpLwXNfvHVtxBpqdVpOl-DxaDXsNMXx7aKQTt-G_bCHnqNzVgs9ZFqXKvCCrgTz5jHmQzAItjbBIGmmaet6K0GQdbecPKtD1WhCZqjv1fIDdYaVVF-FDZgZT0IqtaN/s1600/PCB+Types.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="401" data-original-width="650" height="394" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgPLBcwlIb8g7ZC15XpLwXNfvHVtxBpqdVpOl-DxaDXsNMXx7aKQTt-G_bCHnqNzVgs9ZFqXKvCCrgTz5jHmQzAItjbBIGmmaet6K0GQdbecPKtD1WhCZqjv1fIDdYaVVF-FDZgZT0IqtaN/s640/PCB+Types.jpg" width="640" /></a></div>
<div style="text-align: center;">
<br /></div>
<br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Most commonly used </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">substrate</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> in printed circuit boards is a glass fiber reinforced (fiberglass) epoxy resin with a copper foil bonded on to one or both sides. PCBs made from paper reinforced phenolic resin with a bonded copper foil are less expensive and are often used in household electrical devices.<br /><br />The printed circuits are made of copper, which is either plated or etched away on the surface of the substrate to leave the pattern desired. The copper circuits are coated with a layer of tin-lead to prevent oxidation.</span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">There are various types of PCBs as below:</span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"></span><br />
<ul style="text-align: left;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
<li>Single Sided PCBs</li>
<li>Double Sided PCBs</li>
<li>Multilayer PCBs</li>
<li>Rigid PCBs</li>
<li>Flex PCBs</li>
<li>Rigid-Flex PCBs</li>
</span></ul>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
<div>
<br /></div>
<div>
<b>Single Sided PCBs</b> </div>
<div>
<br /></div>
<div>
This type of PCBs includes only one layer of base material or substrate. One end of the Substrate is coated generally with copper or in some cases with other conducting material. Then a solder mask is applied for protection and above it a silkscreen coat is applied on the top of it.</div>
<div>
<br /></div>
<div>
These types of PCBs are less expensive. However they are used less due to their intrinsic design limitation.</div>
<div>
<br /></div>
<div>
<b>Double Sided PCBs</b></div>
<div>
<br /></div>
<div>
In this PCBs both side of board include metal conductive layer. You can connect circuit at one side of PCB to the circuit at other side of PCB.<br />
<br />
Circuits are connected with each other by using through-hole or surface mount technology. In through hole technology components are connected via leads or small wires through the holes. Whereas in surface-mount technology many leads are soldered directly into the board.<br />
<br />
<b>Multilayer PCBs</b><br />
<b><br /></b>
Multilayer PCBs use additional layers beyond top and bottom layers. Since there are multiple layers designers have to make very thick and highly compound designs.<br />
<br />
<b>Rigid PCBs</b><br />
<br />
Best example for these kind of PCBs is motherboard in the computer. In this kind of PCBs a solid,rigid substrate material is used. This material will avoid the twisting of the board.<br />
<br />
<br />
<b>Flex PCBs</b><br />
<b><br /></b>
Flexible plastic is used as a substrate in Flex Printed Circuit Boards. These kind of PCBs has advantages of taking any form over the inflexible PCBs. Hence anyone can save bulky wiring in the circuit which result in reduction of weight and space. Hence Flex PCBs are used in the designing of satellites.<br />
<br />
<br />
<b>Rigid-Flex PCBs</b><br />
<b><br /></b>
As the name suggests it is the combination of Rigid and Flex PCBs. In these kind of PCBs a rigid PCB is joined with the Flex PCB.</div>
<div>
<br /></div>
</span><br />
<div>
<br /></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com1tag:blogger.com,1999:blog-7334616889745090736.post-31106416682250329012018-05-20T04:31:00.000-07:002018-05-27T05:40:37.999-07:00Logical Gates - NOT, AND, OR, NAND, NOR, XOR, XNOR<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjsEdlTflRktpCMRWzvU7OHGJbr_wbqTAgTFIbMKn8-EH2wTdmIOdREYwUbPpF08oVZSSpsYtJ4mCU0gmjnKWXn4dBAeA3Y_MhxHx98Qe0EF8oysq4c0-MYleMiJCZVHrArh90B12KwdCo_/s1600/Logical+Gates.bmp" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Logical Gates" border="0" data-original-height="371" data-original-width="1012" height="234" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjsEdlTflRktpCMRWzvU7OHGJbr_wbqTAgTFIbMKn8-EH2wTdmIOdREYwUbPpF08oVZSSpsYtJ4mCU0gmjnKWXn4dBAeA3Y_MhxHx98Qe0EF8oysq4c0-MYleMiJCZVHrArh90B12KwdCo_/s640/Logical+Gates.bmp" title="Logical Gates" width="640" /></a></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">There are total 7 types of logical gates. They are as below.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>1) NOT</b></span></div>
<br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">It inverts the input. It give logical high output when we give logical low whereas gives logical low as output if we provide logical high as input.</span><br />
<br />
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
.tg .tg-yw4l{vertical-align:top}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l">INPUT</th>
<th class="tg-yw4l">OUTPUT</th>
</tr>
<tr>
<td class="tg-yw4l">A</td>
<td class="tg-yw4l">NOT A</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
</tr>
</tbody></table>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>2) AND</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">It Gives output as Logically high only when both inputs are at logically high. Otherwise it will give output as logically low.</span><br />
<br />
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
.tg .tg-yw4l{vertical-align:top}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l" colspan="2">INPUT</th>
<th class="tg-yw4l">OUTPUT</th>
</tr>
<tr>
<td class="tg-yw4l">A</td>
<td class="tg-yw4l">B</td>
<td class="tg-yw4l">A AND B</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
</tr>
</tbody></table>
<br />
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>3) OR</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">It gives output as logically low when both inputs are at logically low. Otherwise it will give output as logically high.</span><br />
<br />
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
.tg .tg-yw4l{vertical-align:top}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l" colspan="2">INPUT</th>
<th class="tg-yw4l">OUTPUT</th>
</tr>
<tr>
<td class="tg-yw4l">A</td>
<td class="tg-yw4l">B</td>
<td class="tg-yw4l">A OR B</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
</tr>
</tbody></table>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>4) NAND</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">It is the combination of NOT and AND gate. It gives output as logically low when both inputs are at logically high. Otherwise it will give logically high as output.</span><br />
<br />
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
.tg .tg-yw4l{vertical-align:top}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l" colspan="2">INPUT</th>
<th class="tg-yw4l">OUTPUT</th>
</tr>
<tr>
<td class="tg-yw4l">A</td>
<td class="tg-yw4l">B</td>
<td class="tg-yw4l">A NAND B</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
</tr>
</tbody></table>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>5) NOR</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">It is the combination of NOT and OR gate. It gives output as logically high when both inputs are at logically low. Otherwise it will give logically low as output.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
.tg .tg-yw4l{vertical-align:top}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l" colspan="2">INPUT</th>
<th class="tg-yw4l">OUTPUT</th>
</tr>
<tr>
<td class="tg-yw4l">A</td>
<td class="tg-yw4l">B</td>
<td class="tg-yw4l">A NOR B</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
</tr>
</tbody></table>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>6) XOR</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">It gives output as logically low when both inputs are logically at same level. Otherwise it will give value as logically high.</span><br />
<br />
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
.tg .tg-yw4l{vertical-align:top}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l" colspan="2">INPUT</th>
<th class="tg-yw4l">OUTPUT</th>
</tr>
<tr>
<td class="tg-yw4l">A</td>
<td class="tg-yw4l">B</td>
<td class="tg-yw4l">A XOR B</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
</tr>
</tbody></table>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>7) XNOR</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">It gives output as logically high when both inputs are logically at same level. Otherwise it will give value as logically low</span>.<br />
<br />
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
.tg .tg-yw4l{vertical-align:top}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l" colspan="2">INPUT</th>
<th class="tg-yw4l">OUTPUT</th>
</tr>
<tr>
<td class="tg-yw4l">A</td>
<td class="tg-yw4l">B</td>
<td class="tg-yw4l">A XNOR B</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
</tr>
<tr>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">0</td>
<td class="tg-yw4l">0</td>
</tr>
<tr>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
<td class="tg-yw4l">1</td>
</tr>
</tbody></table>
<br /></div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com0tag:blogger.com,1999:blog-7334616889745090736.post-29571874508673195362018-05-20T02:28:00.001-07:002018-05-27T05:40:37.968-07:00Image Processing<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">An image is nothing more than a two dimensional signal. It is defined by the mathematical function f(x,y) where x and y are the two co-ordinates horizontally and vertically. The value of f(x,y) at any point is gives the pixel value at that point of an image.</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"></span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi6gvArdm9b7BI2Wk-YEDZ1vReK7ct6ub3yzvAR4k6vpThGzHe9-pQxUZboHyN9MfRQyLBCyir1p225P8B4Ed9L1BX36_Xfe_G1Bre2rEyy3D54T6MRsy9JG5kek5ln7l28FngwxBTHjcEZ/s1600/Digital+Image+Processing.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="422" data-original-width="1600" height="168" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi6gvArdm9b7BI2Wk-YEDZ1vReK7ct6ub3yzvAR4k6vpThGzHe9-pQxUZboHyN9MfRQyLBCyir1p225P8B4Ed9L1BX36_Xfe_G1Bre2rEyy3D54T6MRsy9JG5kek5ln7l28FngwxBTHjcEZ/s640/Digital+Image+Processing.JPG" width="640" /></a></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
Image Processing is a method to perform some operations on an image, in order to get an enhanced image or to extract some useful information from it. There are two types of Image Processing based on how the data is stored.</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> If the pictorial representation of the data represented in analog wave formats that can be named as analog image. E.g.: television broadcasting in older days through the dish antenna systems. .Whereas the digital representation or storing the data in digital form is termed as a digital image processing E.g.: image data stored in digital logic gates. </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Analogue image processing can be used for the hard copies like printouts and photographs. Image analysts use various fundamentals of interpretation while using these visual techniques. Digital image processing techniques help in manipulation of the digital images by using computers.</span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Various operations on image:</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">1) Thresholding</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">2) Blob Analysis</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">3) Filtering</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">4) Watershed Transform</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">5) Distance Transform</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>Applications</b></span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">1) <b>Security Recognition</b> : </span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> </span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> Most known application of Image processing is Face Recognition. Now almost every major smartphone has face recognition feature in it. It provides a high level of security. Similarly we can use eye and finger recognition to allow access to only specific individuals. This kind of security can be used in high security organisations or government agencies. </span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">2) <b>Computer Graphics</b> :</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> Image Processing can be used to apply different filters . We can also create 3D pattern of objects from an image.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">3) <b>Medical Applications</b> :</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> By analyzing the images of tissues, cells or body parts we can get various information about our health. Current application of such things include X-ray, MRI, CT.</span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com0tag:blogger.com,1999:blog-7334616889745090736.post-59326193116469272842018-05-13T08:05:00.000-07:002018-05-27T05:40:38.029-07:00Resistor Value Calculator<div dir="ltr" style="text-align: left;" trbidi="on">
<script src="https://ajax.googleapis.com/ajax/libs/angularjs/1.6.9/angular.min.js"></script>
<script>
var app = angular.module('myApp', []);
app.controller('myCtrl1', function($scope) {
$scope.color1 = [
{value : 0, color : "Black"},
{value : 10, color : "Brown"},
{value : 20, color : "Red"},
{value : 30, color : "Orange"},
{value : 40, color : "Yellow"},
{value : 50, color : "Green"},
{value : 60, color : "Blue"},
{value : 70, color : "Violet"},
{value : 80, color : "Grey"},
{value : 90, color : "White"},
];
$scope.selectedOption = $scope.color1[1];
$scope.color2 = [
{value : 0, color : "Black"},
{value : 1, color : "Brown"},
{value : 2, color : "Red"},
{value : 3, color : "Orange"},
{value : 4, color : "Yellow"},
{value : 5, color : "Green"},
{value : 6, color : "Blue"},
{value : 7, color : "Violet"},
{value : 8, color : "Grey"},
{value : 9, color : "White"},
];
$scope.color3 = [
{value : 1, color : "Black"},
{value : 10, color : "Brown"},
{value : 100, color : "Red"},
{value : 1000, color : "Orange"},
{value : 10000, color : "Yellow"},
{value : 100000, color : "Green"},
{value : 1000000, color : "Blue"},
{value : 10000000, color : "Violet"},
{value : 100000000, color : "Grey"},
{value : 1000000000, color : "White"},
{value : 0.1, color : "Gold"},
{value : 0.01, color : "Silver"},
];
$scope.color4 = [
{value : 20, color : "None"},
{value : 1, color : "Brown"},
{value : 2, color : "Red"},
{value : 0.5, color : "Green"},
{value : 0.25, color : "Blue"},
{value : 0.1, color : "Violet"},
{value : 0.05, color : "Grey"},
{value : 5, color : "Gold"},
{value : 10, color : "Silver"},
];
});
</script>
<style>
.gadget_outer{
width:50%;
height:40%;
border-style: solid;
border-width:1 px;
text-align:center;
padding:2% 5% 6% 5%;
margin:8% 16% 8% 16%;
font-size:150%;
}
.orp{
width:100%;
height:15%;
padding:0% 5% 0% 5%;
margin:5% 0% 5% 0%;
}
.dorp{
width:100%;
height:15%;
padding:0% 5% 0% 5%;
margin:5% 0% 5% 0%;
}
</style>
<br />
<div class="gadget_outer" ng-app="myApp" ng-controller="myCtrl1">
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgSA4CffB87nfIoFUXi5IefeVVDh6_TVCroOppq3GIQ7LbzMGfRLVseqwQRWfHWaciNRKrL5il4PQweJmfhzx4qDjcFJlbPvieW5OQaIGf1Htty8F4Ck6bXHdfsocoGuQncERYNzdN-UXpH/s1600/resistor-32290_960_720.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" data-original-height="480" data-original-width="960" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgSA4CffB87nfIoFUXi5IefeVVDh6_TVCroOppq3GIQ7LbzMGfRLVseqwQRWfHWaciNRKrL5il4PQweJmfhzx4qDjcFJlbPvieW5OQaIGf1Htty8F4Ck6bXHdfsocoGuQncERYNzdN-UXpH/s640/resistor-32290_960_720.png" width="640" /></a></div>
<br />
<br />
Select First Color :
<select class="orp" ng-model="selectedColor1" ng-options="x.color for x in color1">
</select>
<br />
Select Second Color :
<select class="orp" ng-model="selectedColor2" ng-options="x.color for x in color2">
</select>
<br />
Select Third Color :
<select class="orp" ng-model="selectedColor3" ng-options="x.color for x in color3">
</select>
<br />
Select Fourth Color :
<select class="orp" ng-model="selectedColor4" ng-options="x.color for x in color4">
</select>
<br />
<div class="dorp">
Resistor Value is : {{((selectedColor1.value + selectedColor2.value) * selectedColor3.value)/1000}} k Ohm +- {{selectedColor4.value}}%</div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com0tag:blogger.com,1999:blog-7334616889745090736.post-14674632356214852732018-05-12T22:37:00.001-07:002018-05-27T05:40:37.935-07:00OptoCoupler<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">An optocoupler or optoisolator, contains a light-emitting diode (LED) and a photodetector. It is designed to </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">transfer signals from one circuit to another yet keep them </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">galvanically isolated</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">.</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> It prevent high voltages from affecting the system receiving the signal.</span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Generally these optocouplers comes in a single package which contains both LED and a phototransistor. Some other versions comes in pairs of LED and </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">phototransistor</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgvSYV7LwGRP895SWUbHVZmyf9iSEvjBGCvuM75VwTub6Mc3ZsjgUsj75p1dOotRB97TqaOUMMXI1PhI1b_JM5Gnx53hq3oJa3E1AdvPF-bCFIOMYxHuVLFabBx7StLtinUWH5jQF7iuDZ2/s1600/Optocoupler+optoisolator.JPG" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" data-original-height="330" data-original-width="559" height="376" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgvSYV7LwGRP895SWUbHVZmyf9iSEvjBGCvuM75VwTub6Mc3ZsjgUsj75p1dOotRB97TqaOUMMXI1PhI1b_JM5Gnx53hq3oJa3E1AdvPF-bCFIOMYxHuVLFabBx7StLtinUWH5jQF7iuDZ2/s640/Optocoupler+optoisolator.JPG" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-size: large;">Optocoupler</span></td></tr>
</tbody></table>
</span><br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br />An optical coupler, also called opto-isolator, optocoupler, opto coupler, photocoupler or optical isolator, is a passive optical component that can combine or split transmission data (optical power) from optical fibers. It is an electronic device which is designed to transfer electrical signals by using light waves in order to provide coupling with electrical isolation between its input and output. The main purpose of an optocoupler is to prevent rapidly changing voltages or high voltages on one side of a circuit from distorting transmissions or damaging components on the other side of the circuit. An optocoupler contains a light source often near an LED which converts electrical input signal into light, a closed optical channel and a photosensor, which detects incoming light and either modulates electric current flowing from an external power supply or generates electric energy directly. The sensor can either be a photoresistor, a silicon-controlled rectifier, a photodiode, a phototransistor or a triac.</span><br />
<br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Optocouplers and Opto-isolators are great electronic devices that allow devices such as power transistors and triacs to be controlled from a PC’s output port, digital switch or from a low voltage data signal such as that from a logic gate. The main advantage of opto-couplers is their high electrical isolation between the input and output terminals allowing relatively small digital signals to control much large AC voltages, currents and power.<br /><br />An optocoupler can be used with both DC and AC signals with optocouplers utilizing a SCR (thyristor) or triac as the photo-detecting device are primarily designed for AC power-control applications. The main advantage of photo-SCRs and photo-triacs is the complete isolation from any noise or voltage spikes present on the AC power supply line as well as zero-crossing detection of the sinusoidal waveform which reduces switching and inrush currents protecting any power semiconductors used from thermal stress and shock.</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">The light is proportional to the signal, so the signal is thus transferred to the photo-transistor. Opt couplers may also comes in few module such as the SCR, photodiodes, TRIAC of other semiconductor switch as an output, and incandescent lamps, neon bulbs or other light source.</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>Applications of optocouplers</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
<br />Photoresistor-based opto-isolators are the slowest type of optocouplers, but also the most linear isolators and are used in the audio and music industry. Most opto-isolators available use bipolar silicon phototransistor sensors and reach medium data transfer speed, which is enough for applications like electroencephalography. High speed opto-isolators are used in computing and communications applications. Other industrial applications include photocopiers, industrial automation, professional light measurement instruments and auto-exposure meters.</span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br />Common applications for opto-couplers include microprocessor input/output switching, DC and AC power control, PC communications, signal isolation and power supply regulation which suffer from current ground loops, etc. The electrical signal being transmitted can be either analogue (linear) or digital (pulses).</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>Working of Optocouplers</b></span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b><br /></b></span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><span style="font-family: Georgia, "Times New Roman", serif;">Most commonly used is an opto-coupler MOC3021 an LED diac type combination. This IC is interfaced with a microcontroller and an LED is connected in series to the IC, which glows to indicate a </span><span style="font-family: Georgia, "Times New Roman", serif;">logic High pulse</span><span style="font-family: Georgia, "Times New Roman", serif;"> from the microcontroller so that we can know that current is flowing in internal LED of the opto-IC. When logic high is given current flows through LED from pin1 to 2. So in this process LED light falls on DIAC causing 6 & 4 to close. During each half cycle current flows through gate, series resistor and through opto-diac for the main thyristor / triac to trigger for the load to operate.</span></span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com1tag:blogger.com,1999:blog-7334616889745090736.post-76914172073758925802018-05-10T04:00:00.001-07:002018-05-27T05:40:38.060-07:00Raspberry Pi Details<div dir="ltr" style="text-align: left;" trbidi="on">
<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif;">The Raspberry Pi is a series of small single-board computers developed to promote the teaching of basic computer science in schools and in developing countries. It is a credit card-sized, low-cost but fully functional and programmable computer with modern high-definition multimedia capabilities.</span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg3VjyxDx8k92syFGAKbyFsUa84AaqscJlrZLUZ_9gMrOoflm0jmTBVNIjajdIR2z3nmQbLziuuVZybmDjUqGDvO4bWkZjH_NSk5cGHv9OPGm1-Pfo5xyii0o2ioHKLxWIp89VkcADaFA47/s1600/computer-technology-product-electronics-pi-chip-796226-pxhere.com+%25281%2529.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><span style="font-family: "georgia" , "times new roman" , serif;"><img border="0" data-original-height="934" data-original-width="1600" height="371" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg3VjyxDx8k92syFGAKbyFsUa84AaqscJlrZLUZ_9gMrOoflm0jmTBVNIjajdIR2z3nmQbLziuuVZybmDjUqGDvO4bWkZjH_NSk5cGHv9OPGm1-Pfo5xyii0o2ioHKLxWIp89VkcADaFA47/s640/computer-technology-product-electronics-pi-chip-796226-pxhere.com+%25281%2529.jpg" width="640" /></span></a></div>
<span style="font-family: "georgia" , "times new roman" , serif;"><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;">Below are the various components on the Raspberry Pi board:</span></span><br />
<div>
<ul style="text-align: left;">
</ul>
<div>
<b><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></b></div>
</div>
<div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>ARM CPU/GPU</b> - it is a System on a Chip (SoC) which contains an ARM central processing unit (CPU) and a Videocore 4 graphics processing unit (GPU). The CPU handles taking input, doing calculations and producing output, and the GPU is used for graphics output.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>GPIO</b> - It's full form is General purpose input-output (GPIO) connector. Raspberry Pi 1 Models A+ and B+, Pi 2 Model B, Pi 3 Model B and B+, and Pi Zero and Zero W GPIO J8 contains 40-pin GPIO.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>RCA</b> - This part allows connection of analog TVs and other similar output devices.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;">Audio out - This is for headphones and speakers. You cannot provide audio as input using this port.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>LEDs</b> - You can use these LEDs to display the output of various projects.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>USB</b> - It is to connect USB devices such as mouse or keyboard. You can also increase number of ports using USB hubs.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>HDMI</b> - You can connect high-definition television or other compatible device to Rasberry Pi using an HDMI cable.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>Power</b> - This is a power supply. It is a 5v Micro USB power connector.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>SD cardslot</b> - This is a full-sized SD card slot. An SD card with an operating system (OS) installed is required for booting the device.</span></div>
<div>
<b><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></b></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><b>Ethernet</b> - This connector allows for wired network access.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span><span style="font-family: "georgia" , "times new roman" , serif;">General purpose input-output (GPIO) connector </span><span style="font-family: "georgia" , "times new roman" , serif;">Pin Details</span></span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;">Raspberry Pi 1 Models A and B have only the first 26 pins.</span><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:black;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:black;}
.tg .tg-yw4l{vertical-align:top}
</style>
</span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
</span><br />
<table class="tg">
<tbody>
<tr>
<th class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">Pin#</span></th>
<th class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">2nd func.</span></th>
<th class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO#</span></th>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">1</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">+3.3 V</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">2</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">+5 V</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">3</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">SDA1 (I²C)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">2</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">4</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">+5 V</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">5</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">SCL1 (I²C)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">3</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">6</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">7</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GCLK</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">4</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">8</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">TXD0 (UART)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">14</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">9</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">10</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">RXD0 (UART)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">15</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">11</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GEN0</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">17</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">12</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GEN1</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">18</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">13</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GEN2</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">27</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">14</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">15</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GEN3</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">22</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">16</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GEN4</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">23</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">17</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">+3.3 V</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">18</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GEN5</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">24</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">19</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">MOSI (SPI)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">10</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">20</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">21</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">MISO (SPI)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">9</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">22</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GEN6</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">25</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">23</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">SCLK (SPI)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">11</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">24</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">CE0_N (SPI)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">8</span></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">25</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-yw4l"></td>
</tr>
<tr>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">26</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">CE1_N (SPI)</span></td>
<td class="tg-yw4l"><span style="font-family: "georgia" , "times new roman" , serif;">7</span></td>
</tr>
</tbody></table>
<span style="font-family: "georgia" , "times new roman" , serif;"><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;">Raspberry Pi 1 Models A+ and B+, Pi 2 Model B, Pi 3 Model B and B+, and Pi Zero and Zero W GPIO J8 have a 40-pin pinout. They have below additional pins along with above 26 pins.</span></span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">Pin#</span></th>
<th class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">2nd func.</span></th>
<th class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO#</span></th>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">27</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">ID_SD</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">EEPROM</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">28</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">ID_SC</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">EEPROM</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">29</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">5</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">30</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-031e"></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">31</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">6</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">32</span></td>
<td class="tg-031e"></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">12</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">33</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">13</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">34</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-031e"></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">35</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">19</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">36</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">16</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">37</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">26</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">38</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">Digital IN</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">20</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">39</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-031e"></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">40</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">Digital OUT</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">21</span></td>
</tr>
</tbody></table>
</div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<span style="font-family: "georgia" , "times new roman" , serif;">Model B rev. 2 also has a pad (called P5 on the board and P6 on the schematics) of 8 pins offering access to an additional 4 GPIO connections.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<br />
<div>
<style type="text/css">
.tg {border-collapse:collapse;border-spacing:0;border-color:#999;}
.tg td{font-family:Arial, sans-serif;font-size:14px;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#444;background-color:#F7FDFA;}
.tg th{font-family:Arial, sans-serif;font-size:14px;font-weight:normal;padding:10px 5px;border-style:solid;border-width:1px;overflow:hidden;word-break:normal;border-color:#999;color:#fff;background-color:#26ADE4;}
</style>
<br />
<table class="tg">
<tbody>
<tr>
<th class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">Pin#</span></th>
<th class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">2nd func.</span></th>
<th class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">Function</span></th>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">1</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">+5 V</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">2</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">+3.3 V</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">3</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO_GEN7</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO28</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">4</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO_GEN8</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO29</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">5</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO_GEN9</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO30</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">6</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO_GEN10</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GPIO31</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">7</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
</tr>
<tr>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">8</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">GND</span></td>
<td class="tg-031e"><span style="font-family: "georgia" , "times new roman" , serif;">N/A</span></td>
</tr>
</tbody></table>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
</div>
<br /></div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com0tag:blogger.com,1999:blog-7334616889745090736.post-41411289998937270452016-06-18T02:55:00.000-07:002016-06-18T02:56:18.732-07:00ASK Modulator using 555<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif;">ASK means Amplitude Shift Keying. It is one of the type of modulation scheme. It converts high frequency carrier signal into digital data. It transmits bit 1 (logic high) with carrier frequency and bit 0 (logic low) with no carrier.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<br />
<div style="text-align: center;">
<b><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Circuit Diagram</span></b></div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhWSgDATt29JZ6IFrmHUQqv9QuIgKDxyzGX1CXfJRpuEcoUFgZYZzLy5xiZfr23ynAWfUsVI7IyUCMuTwwgPcV5y6LMzIZVDs2I8KSM9nh0n0rFziaLtaUHksPhZNgmELjFE5MUYeM9C9Z-/s1600/ASK+modulator+using+555+Timer+IC.png" imageanchor="1"><img border="0" height="410" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhWSgDATt29JZ6IFrmHUQqv9QuIgKDxyzGX1CXfJRpuEcoUFgZYZzLy5xiZfr23ynAWfUsVI7IyUCMuTwwgPcV5y6LMzIZVDs2I8KSM9nh0n0rFziaLtaUHksPhZNgmELjFE5MUYeM9C9Z-/s640/ASK+modulator+using+555+Timer+IC.png" width="640" /></a></span></div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>Circuit Description</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;">This circuit is divided into two sections. 1) 1 KHz Pulse Generator 2) ASK Modulator</span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<b><span style="font-family: "georgia" , "times new roman" , serif;">Pulse Generator</span></b><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif;">IC 555 is used to generate pulses at 1 KHz frequency. Freuency generated is depend on RC components. R4, R5 and C3.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif;">F = 1.44 / (R3 + 2 * R4) * C3</span></div>
<div style="text-align: center;">
<br /></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif;">This 1 KHz pulse generator output is connected to the reset pin of 555 IC of ASK modulator</span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div style="text-align: left;">
<b><span style="font-family: "georgia" , "times new roman" , serif;">ASK Modulator</span></b></div>
<div style="text-align: left;">
<b><span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></b></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif;">Here we used 555 Timer IC as Astable multivibrator. If pulse generator output is high then it generates pulses with frequency (F) = 1.44 / (R1 +2 * R2) * C1. When pulse generator output is low then ASK Modulator output is also low.</span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif;"><br /></span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif;">Working</span></div>
<div style="text-align: left;">
<br /></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com1tag:blogger.com,1999:blog-7334616889745090736.post-64900893979745005082016-06-11T05:20:00.000-07:002016-06-11T05:21:14.035-07:00How to add custom component to the ExpressSCH<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="separator" style="clear: both; text-align: center;">
<iframe allowfullscreen="" class="YOUTUBE-iframe-video" data-thumbnail-src="https://i.ytimg.com/vi/vS_lDwfo_Ck/0.jpg" frameborder="0" height="266" src="https://www.youtube.com/embed/vS_lDwfo_Ck?feature=player_embedded" width="320"></iframe></div>
<div style="text-align: center;">
<br /></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com0tag:blogger.com,1999:blog-7334616889745090736.post-30547196194857518222014-05-07T08:15:00.000-07:002016-02-13T04:42:47.148-08:005V DC Power Supply Design<div dir="ltr" style="text-align: left;" trbidi="on">
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">In many electronics projects we required a 5V DC supply. This DC supply acts as a battery for the circuit. Now we can buy a DC supply from market. But it is expensive and you need to spend more money on your power supply. Here we are giving a 5V DC supply generation circuit. Input to this circuit is 230 V AC supply and at the output side it gives 5V DC supply.</span></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: x-large;"><b>Circuit Diagram</b></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEib2ssZt9lZsfH0Rs1T4jacC2SLxrSeGwMcA7c0lIV6lsCjavBRHQ7C-dVnaWyEdccp2lAPZvqG_p59tdseFwufBXPZ53G8edOLNyZo2zS72CsgRbY6ocu5AMr-pWV0-VHVkzYeS31dsttA/s1600/power+supply+circuit+diagram.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEib2ssZt9lZsfH0Rs1T4jacC2SLxrSeGwMcA7c0lIV6lsCjavBRHQ7C-dVnaWyEdccp2lAPZvqG_p59tdseFwufBXPZ53G8edOLNyZo2zS72CsgRbY6ocu5AMr-pWV0-VHVkzYeS31dsttA/s1600/power+supply+circuit+diagram.jpg" height="180" width="640" /></a></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: x-large;"><b>Working</b></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Here as you can see at the input side there is a transformer. It convert 230 V AC supply into 12 V AC. But this signal is not a DC signal. Hence we have to give the output of transformer to the rectifier. Rectifier converts it into a DC signal. And finally 12 V output is given as the input to the LM7805 IC. LM7805 IC is a voltage regulator IC which gives a 5V DC output. This way we get the output of 5V DC supply. Capacitors used in the circuit are used to generate ripple free DC. These capacitors are called smoothing capacitors Smoothing capacitor is also called Filter capacitor and its function is to convert half wave / full wave output of the rectifier into smooth DC.</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">To download more information about project such as PCB Layout, Project details click on below button.</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><a class="big btn green" href="http://www.any.gs/XUuN" target="_blank">Download</a></span></div>
</div>
<div style="text-align: justify;">
<br /></div>
</div>Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-91282311199933213832014-02-15T23:55:00.001-08:002014-02-15T23:57:14.805-08:00Arduino Based Keypad Security Code Lock<div dir="ltr" style="text-align: left;" trbidi="on">
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">This project is using arduino board for security lock using keypad. This project can be used in security applications. The project is using two 270 ohm resistors and two LED's . Advantage is that you can set your own custom password for unlocking.</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgrXb5nRqDg57KJCCD9aaGG9OGqTPsgQO7Qgl6GcCPkX73Ye795tS1lf97j3vpduxLI7PTTV_nD00987_NK_S1cVaK8EspHIV-mK-IRuS4p8DiY_5wsmM3ciBe4ItKfI33rRYKe9YyTk9ci/s1600/arduino_mega.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Arduino" border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgrXb5nRqDg57KJCCD9aaGG9OGqTPsgQO7Qgl6GcCPkX73Ye795tS1lf97j3vpduxLI7PTTV_nD00987_NK_S1cVaK8EspHIV-mK-IRuS4p8DiY_5wsmM3ciBe4ItKfI33rRYKe9YyTk9ci/s1600/arduino_mega.jpg" height="480" title="Arduino" width="640" /></a></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="color: red; font-family: Georgia, Times New Roman, serif; font-size: large;">Circuit Diagram</span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgp8KjR_dRlbWT_xQniWnz9HqskN14f83Qa4GCVWLSilYfHydTK1QAJEhyphenhyphenxnWD9Opcre7i7MB_kaMGnnzU7AiLQo0Hjd-pWSFRbQfA7piuTGAnjDmAqE3hQ_Ju4atk2-m1CRx6uUpS531Qu/s1600/arduino+based+keypad+security+code+lock.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Arduino Projects" border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgp8KjR_dRlbWT_xQniWnz9HqskN14f83Qa4GCVWLSilYfHydTK1QAJEhyphenhyphenxnWD9Opcre7i7MB_kaMGnnzU7AiLQo0Hjd-pWSFRbQfA7piuTGAnjDmAqE3hQ_Ju4atk2-m1CRx6uUpS531Qu/s1600/arduino+based+keypad+security+code+lock.jpg" height="276" title="Arduino Based Keypad Security Code Lock" width="640" /></a></div>
<div style="text-align: center;">
<br /></div>
<div style="text-align: center;">
<span style="color: red; font-family: Georgia, Times New Roman, serif; font-size: large;">Working</span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">When you press a key on the keypad the corresponding 7 bit signal of that key is sent to arduino pins D1 to D7. Output is connected to D8 and D9 pins of Arduino board. Output is indicated by two LED's. When the entered password is correct Green LED will be ON and Red LED will be off. Similarly when Password is incorrect Red LED glows and Green LED is OFF. </span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<div>
<div style="text-align: center;">
<span style="color: red; font-family: Georgia, Times New Roman, serif; font-size: large;">Component List</span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
<table class="godiv">
<thead>
<tr>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Component</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Value</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Quantity</span></td>
</tr>
</thead>
<tbody>
<tr>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Resistor</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">270 Ohms</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">2</span></td>
</tr>
<tr>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">LED</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Red 5mm</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">1</span></td>
</tr>
<tr>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">LED</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Green 5mm</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">1</span></td>
</tr>
<tr>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Arduino</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Diecimila or
Duemilanove board</span></td>
<td><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">1</span></td>
</tr>
</tbody>
</table>
<br />
<br />
<div>
<div style="text-align: center;">
<span style="color: red; font-family: Georgia, Times New Roman, serif; font-size: large;">Code</span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
<div style="text-align: center;">
<a class="divahr" href="http://www.4shared.com/get/uR48RnByba/code_for_arduino_based_keypad_.html"><span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Download</span></a>
</div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-58071406877001788792014-02-15T06:46:00.000-08:002014-04-28T07:05:16.840-07:00LCD 16X2<div dir="ltr" style="text-align: left;" trbidi="on">
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">What is a LCD. It is a Liquid Crystal display. It is a basic module which is used in many electronics projects. It is used to display characters. You can display a number of characters like numbers 0 to 9 alphabets a to z and special characters such as !,@,#. Here 16x2 means it can display 16 characters in one line and there are two such lines. That means you can display 32 characters at a time. Each character is displayed using a 8 by 5 matrix. We can display our own custom character on LCD by passing the corresponding data.</span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiHFVTWJy86XHRfBYKRdP1URAVBz-KqZH_avxzeTKe8IfKvzNI0VjTNTXv4V7dot0SEkOr6mNL-e-vIMcKTzvsWCwEaKq7OBMRqZWnd_5r_2IoEf_C5lGCZxDxhAYnERjBr82hoULJeEXjm/s1600/lcd.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="lcd" border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiHFVTWJy86XHRfBYKRdP1URAVBz-KqZH_avxzeTKe8IfKvzNI0VjTNTXv4V7dot0SEkOr6mNL-e-vIMcKTzvsWCwEaKq7OBMRqZWnd_5r_2IoEf_C5lGCZxDxhAYnERjBr82hoULJeEXjm/s1600/lcd.jpg" height="384" title="LCD" width="640" /></a></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span><br />
<div style="text-align: justify;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">A 16x2 LCD contains 16 pins. Out of 16, 8 pins are data pins whereas 3 pins are there to control the operation of LCD. Pin no. 7 to 14 are used to provide the 8 bit data to LCD. </span></div>
<br />
<br />
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;">There are 3 control pins. One is register select (pin no. 4).When it is 0 it selects command register and when the pin is high it selects data register. There are two types of registers in LCD. One is command register which stores the information about how to perform various operations of LCD. The other register is data register. It stores the ASCII value of the data which is to be displayed. </span></div>
<br />
<br />
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;">Second control pin is Pin no. 5 which is used for read and write operations. When it is 0 it perform write to register operation and when it is 1 it perform read from register operation.</span></div>
<br />
<br />
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;">Third control pin is Enable pin (pin no. 6). When a high to low pulse is provided to this pin then the data is sent to data pins. </span></div>
<br />
<br />
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;">Pin no. 1 and 2 are GND and VCC respectively. We should give the 5V supply to this two pins. One more thing which we can do with LCD is backlight brightness adjustment. The supply for backlight is given at pin no. 15 and 16. Whereas we can control the brightness of the LCD by controlling the voltage given to the pin no.3. Less voltage means less brightness. For adjusting the voltage generally a potentiometer is connected to the pin no.3. </span></div>
<br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<span style="color: red; font-family: Georgia, Times New Roman, serif; font-size: x-large;">Pin Description</span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhQalVphwSmizLBaVC0i2lo3ORqSTie8m_lrktx3AARFtnbbyBtcgHaDdyLAUOeTkJNLt_DweMG_jbCwT2XhH0oehAXGO65sfa1xOxi3bO6PnliLMtr-hxGxYh6nSSFIAVAMMhBtxCxttYL/s1600/LCD+pin+description.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="LCD pin description" border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhQalVphwSmizLBaVC0i2lo3ORqSTie8m_lrktx3AARFtnbbyBtcgHaDdyLAUOeTkJNLt_DweMG_jbCwT2XhH0oehAXGO65sfa1xOxi3bO6PnliLMtr-hxGxYh6nSSFIAVAMMhBtxCxttYL/s1600/LCD+pin+description.png" height="640" title="LCD pin description" width="558" /></a></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-58331154022247300072014-01-11T21:53:00.000-08:002014-01-11T21:53:45.227-08:00VHDL code for 4 bit Binary Counter<div dir="ltr" style="text-align: left;" trbidi="on">
<br /><br /><span style="font-family: Georgia, Times New Roman, serif; font-size: x-large;"><b>Code:</b></span><br /><span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><i><br />library IEEE;<br />use IEEE.STD_LOGIC_1164.all; <br />use IEEE.STD_LOGIC_ARITH.all;<br />use IEEE.STD_LOGIC_UNSIGNED.all;<br /><br />entity cnter4 is<br /> port(<br /> clk : in STD_LOGIC;<br /> reset : in STD_LOGIC;<br /> dout : out STD_LOGIC_VECTOR(3 downto 0)<br /> );<br />end cnter_4;<br /><br />architecture cnter_4_arc of cnter_4 is<br />begin<br /><br /> counting : process (clk,reset) is<br /> variable m : std_logic_vector (3 downto 0) := "0000";<br /> begin<br /> if (reset='1') then<br /> m := "0000";<br /> elsif (rising_edge (clk)) then<br /> m := m + 1;<br /> end if;<br /> dout <= m;<br /> end process counting;<br /><br />end cnter_4_arc;</i></span></div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-10656125851211833122014-01-11T21:44:00.003-08:002014-01-11T21:44:49.446-08:00VHDL code for JK Flip Flop<div dir="ltr" style="text-align: left;" trbidi="on">
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: x-large;"><b><br /></b></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: x-large;"><b><br /></b></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: x-large;"><b>Code :</b></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><i><br /></i></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><i>library IEEE;<br />use IEEE.STD_LOGIC_1164.ALL;<br />use IEEE.STD_LOGIC_ARITH.ALL;<br />use IEEE.STD_LOGIC_UNSIGNED.ALL;<br />--------------------------------------------------------------------------------<br />---- Uncomment the following library declaration if instantiating<br />---- any Xilinx primitives in this code.<br />--library UNISIM;<br />--use UNISIM.VComponents.all;<br />--------------------------------------------------------------------------------<br />entity JKFF is<br /> Port ( J : in std_logic;<br /> K : in std_logic;<br /> CLK : in std_logic;<br /> Q : inout std_logic;<br /> QN : inout std_logic);<br />end JKFF;</i></span><div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><i><br /></i></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><i><br />architecture Behavioral of JKFF is<br />begin<br /> process(CLK,J,K)<br /> begin<br /> if (CLK='1' and CLK'event) then<br /> if(J='0' and K='0') then<br /> Q <=Q;<br /> QN <=QN;<br /> elsif(J='0' and K='1') then<br /> Q <= '1';<br /> QN <= '0';<br /> elsif(J='1' and K='0') then<br /> Q <= '0';<br /> QN <= '1';<br /> elsif(J='1' and K='1') then<br /> Q <= NOT Q;<br /> QN <= NOT QN;<br /> end if;<br /> end if;<br /> end process;<br />end Behavioral;</i></span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-18524697251957470982014-01-11T07:30:00.000-08:002014-01-30T05:43:55.559-08:00VHDL code for AND gate<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEisWEE80S72s1UT-v0TkBco6HziFUhHJcTrIxVDs1t6mVl_YmMoPBnMtv51SyMuOQXXXtvKBGTDmy6U6hexccqPCy2xZmJo5Tns8YKAeoYcge_iSJt5RAc_8ziJHoriMbhyMNKTTK8rtZTo/s1600/and+gate.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEisWEE80S72s1UT-v0TkBco6HziFUhHJcTrIxVDs1t6mVl_YmMoPBnMtv51SyMuOQXXXtvKBGTDmy6U6hexccqPCy2xZmJo5Tns8YKAeoYcge_iSJt5RAc_8ziJHoriMbhyMNKTTK8rtZTo/s1600/and+gate.png" height="338" width="640" /></a></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b><br /></b></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b></b></span><br />
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b><span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b><br /></b></span></b></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b>
Code : </b></span><br />
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b><br /></b></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b><br /></b></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b>Dataflow Modelling</b></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b><br /></b><i><br />library IEEE;<br /><br />use IEEE.STD_LOGIC_1164.ALL;<br /><br />use IEEE.STD_LOGIC_ARITH.ALL;<br /><br />use IEEE.STD_LOGIC_UNSIGNED.ALL;<br /><br /><br /><br /><br />---- Uncomment the following library declaration if instantiating<br /><br />---- any Xilinx primitives in this code.<br /><br />--library UNISIM;<br /><br />--use UNISIM.VComponents.all;<br /><br /><br /><br /><br />entity ando is<br /><br /> Port ( a : in STD_LOGIC;<br /><br /> b : in STD_LOGIC;<br /><br /> c : out STD_LOGIC);<br /><br />end ando;<br /><br /><br /><br /><br />architecture Behavioral of ando is<br /><br />begin<br /><br />c<=a AND b;<br /><br />end Behavioral;</i></span><br />
<div>
<br /></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-71309943996959589222013-12-29T06:07:00.000-08:002018-05-24T05:19:49.234-07:004 wire Resistive Touch Screen Controlled Robot<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The objective of this project/tutorial is to build a 4 wire Resistive Touch Screen controlled Robot with ATmega32 microcontroller. Here, the 4 wire resistive touch screen sensor act as the input device, ATmega32 microcontroller act as the processing unit, DC Motor Driver act as the driver for the motors connected to the Robot, The ATmega32 microcontroller reads the analog output values(x and y-axis values of the touch point) of the 4 wire resistive touch screen sensor and converts that analog values to digital values with its analog to digital converter.</span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgXfnBjWm0lhkxEo-_JcENaibbct-dShyphenhyphenUhbFvJKHrntSopCE0pLZBT69fIlUEzurXFzY7qmPV7r2Ky324KdG692BaE5fTqVDXg4yd4jnpyK5UzmM0T5omaK6eMK5ydnXQPOP9gyjhvAKsi/s1600/4-Wire-Resistive-Touch-Screen-SP-T4W-.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="4 Wire Resistive Touch Screen" border="0" height="358" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgXfnBjWm0lhkxEo-_JcENaibbct-dShyphenhyphenUhbFvJKHrntSopCE0pLZBT69fIlUEzurXFzY7qmPV7r2Ky324KdG692BaE5fTqVDXg4yd4jnpyK5UzmM0T5omaK6eMK5ydnXQPOP9gyjhvAKsi/s640/4-Wire-Resistive-Touch-Screen-SP-T4W-.jpg" title="4 Wire Resistive Touch Screen" width="640" /></a></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<br /></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> Then, the digital values are processed by the ATmega32 microcontroller and according to the point of touch in the 4 wire resistive touch screen, the ATmega32 microcontroller drives the Robot in forward, reverse, left, right direction and stops it. The direction of the Robot changes if the connection of motors to the DC Motor Driver are changed.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhISb3zDfilcaT27MyXh2sm364DtWtfEQTAg23EWLC4Ynh4CK1ifqv6MM3KvMrPdJKAKarDOSvhee_KSyKHuF5SOCU1NjO5egbRHG2kD2MuWt9j0VONVqtI9FHlD462OUHwx93VPoxWufZ1/s1600/block+diagram+of+4+wire+resistive+touchscreen+controlled+robot.jpg" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img alt="Block Diagram of 4 wire Resistive Touch Screen Controlled Robot" border="0" height="136" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhISb3zDfilcaT27MyXh2sm364DtWtfEQTAg23EWLC4Ynh4CK1ifqv6MM3KvMrPdJKAKarDOSvhee_KSyKHuF5SOCU1NjO5egbRHG2kD2MuWt9j0VONVqtI9FHlD462OUHwx93VPoxWufZ1/s640/block+diagram+of+4+wire+resistive+touchscreen+controlled+robot.jpg" title="Block Diagram of 4 wire Resistive Touch Screen Controlled Robot" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: small;">Block Diagram</span></td></tr>
</tbody></table>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Port A of ATMEGA32 is used as input port while Port C</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> is used as output port to control the motor driver IC2 L293D. Software used to debug the code is AVR Studio.</span></div>
<div>
<br /></div>
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj_ayWDh2o9-PHU7a3SgkFzeknHdnW9YRaoD-RYn7hLQmtaNIO3z37isN8hF1U2s8BUWg3fJilL33y6mSnhi_NMUP1-bMbifCn4znqMnWIxHFSMtPmYmfCug-RxyGxQOIKb1FvRAEnRdnTu/s1600/4+Wire+Resisitive+Touch+Screen+controlled+robot.jpg" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img alt="4 wire Resistive Touch Screen Controlled Robot" border="0" height="254" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj_ayWDh2o9-PHU7a3SgkFzeknHdnW9YRaoD-RYn7hLQmtaNIO3z37isN8hF1U2s8BUWg3fJilL33y6mSnhi_NMUP1-bMbifCn4znqMnWIxHFSMtPmYmfCug-RxyGxQOIKb1FvRAEnRdnTu/s640/4+Wire+Resisitive+Touch+Screen+controlled+robot.jpg" title="4 wire Resistive Touch Screen Controlled Robot" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: small;">Circuit Diagram</span></td></tr>
</tbody></table>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<div class="separator" style="clear: both; text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To download the code for this project click on below button :</span></div>
<div class="separator" style="clear: both; text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><a class="big green button" href="http://www.4shared.com/get/tzbmBCuh/4_wire_resistive_touchscreen_c.html" target="_blank">Download</a></span></div>
<div class="separator" style="clear: both; text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-43426584066926909602013-12-28T06:41:00.001-08:002013-12-28T06:41:55.594-08:00Relay<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">A relay is an electrically operated switch. Many relays use an electromagnet to operate a switching mechanism mechanically, but other operating principles are also used. Relays are used where it is necessary to control a circuit by a low-power signal (with complete electrical isolation between control and controlled circuits), or where several circuits must be controlled by one signal. </span><br />
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhK35oL_yRhDAjzOWnN9u8GOfuEEvjIUCn2v0gfK97iSQ7xU3CAFSl5RbT7i2tQSJpqqPWo08wHhSggQLertKtLLjtYzP5c0W-w_zjOTNu1rNkH-8fhT1QL9WyRt6yIjQL5AT5wpsj_SZFj/s1600/re1.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="480" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhK35oL_yRhDAjzOWnN9u8GOfuEEvjIUCn2v0gfK97iSQ7xU3CAFSl5RbT7i2tQSJpqqPWo08wHhSggQLertKtLLjtYzP5c0W-w_zjOTNu1rNkH-8fhT1QL9WyRt6yIjQL5AT5wpsj_SZFj/s640/re1.jpg" width="640" /></a></div>
<div style="text-align: center;">
<br /></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">The first relays were used in long distance telegraph circuits, repeating the signal coming in from one circuit and re-transmitting it to another. Relays were used extensively in telephone exchanges and early computers to perform logical operations.</span><br />
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Relay has 5 pins. 2 input pins and 3 output pins. We connect input supply to these 2 pins. 3 output pins are POLE, NC and NO. NC means normally closed and NO means normally opened. The Output Supply or circuit which we want to control should be connected to NO and POLE. </span><br />
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEijA1O_Ol6OF42V-jLspQRmL571Q-XTIkRdhlXG0vTXTY-20NK7PMStdFE15AbDZ2soHqoPKRQ-qg6vO2tZ0uiPkdNe2EHZOkq2ynX5elnN_HycVl6IDYHuKCvlI2QMkVokS3J5A3rcTvkt/s1600/cpr.gif" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Relay" border="0" height="348" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEijA1O_Ol6OF42V-jLspQRmL571Q-XTIkRdhlXG0vTXTY-20NK7PMStdFE15AbDZ2soHqoPKRQ-qg6vO2tZ0uiPkdNe2EHZOkq2ynX5elnN_HycVl6IDYHuKCvlI2QMkVokS3J5A3rcTvkt/s640/cpr.gif" title="Relay" width="640" /></a></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
<div style="text-align: left;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">When input supply is off electromagnet is off and hence armature is connected to NC pin. When we provide input supply then electromagnet is turned ON. Due to this armature is attracted towards the coil and connection between POLE and NO is established.</span></div>
<div style="text-align: left;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">In simple words when supply is ON a closed connection is formed between NO and POLE.</span></div>
<div style="text-align: left;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">By watching this video you will know more about relays :</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<iframe allowfullscreen='allowfullscreen' webkitallowfullscreen='webkitallowfullscreen' mozallowfullscreen='mozallowfullscreen' width='320' height='266' src='https://www.youtube.com/embed/2q59Kqw4gaM?feature=player_embedded' frameborder='0'></iframe></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<br />
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: x-large;"><b>Applications</b></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Relays are used for:</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />1) Amplifying a digital signal, switching a large amount of power with a small operating power. </span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />2) A telegraph relay, repeating a weak signal received at the end of a long wire</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />3) Controlling a high-voltage circuit with a low-voltage signal, as in some types of modems or audio amplifiers</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />4) Controlling a high-current circuit with a low-current signal, as in the starter solenoid of an automobile</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />5) Detecting and isolating faults on transmission and distribution lines by opening and closing circuit breakers</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />6) Isolating the controlling circuit from the controlled circuit when the two are at different potentials, <br />Logic functions. </span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />7) Time delay functions. Relays can be modified to delay opening or delay closing a set of contacts. </span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />8) Vehicle battery isolation. A 12v relay is often used to isolate any second battery in cars, 4WDs, RVs and boats.</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />9) Switching to a standby power supply.</span></div>
</div>
<div style="text-align: left;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-29888925733627894242013-12-23T06:41:00.001-08:002013-12-23T07:15:37.483-08:00Matlab Code for Detecting and Counting Cars in a Video<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Nowadays more traffic jams occur on the road. If we can count somehow the no. of cars then we can actually control the traffic by using some controlling methods. We can detect objects using image processing methods. Now we can easily detect and count these cars. </span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEipqA3-G2KzB9tJxqxAyuOS9oLDkI4Cvx05vGk8wTgg3_i403ENmUmW3OFi27w60DrttmbCPbzEYZASQyXRQAgd15_VVYYLQkZs5KNsnKblKiOH5F-p3gM_eP7eZmu9e69wzfMidtxnblFA/s1600/Street-car-traffic-jam_1920x1200.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Matlab,Code,for,Detecting,and,Counting,Cars,in a Video" border="0" height="400" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEipqA3-G2KzB9tJxqxAyuOS9oLDkI4Cvx05vGk8wTgg3_i403ENmUmW3OFi27w60DrttmbCPbzEYZASQyXRQAgd15_VVYYLQkZs5KNsnKblKiOH5F-p3gM_eP7eZmu9e69wzfMidtxnblFA/s640/Street-car-traffic-jam_1920x1200.jpg" title="Matlab Code for Detecting and Counting Cars in a Video" width="640" /></a></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;">Detecting and counting cars can be used to analyze traffic patterns. Detection is also a first step prior to performing more sophisticated tasks such as tracking or categorization of vehicles by their type.</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br />This example shows how to use the foreground detector and blob analysis to detect and count cars in a video sequence. It assumes that the camera is stationary. The example focuses on detecting objects.</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><b>Applications</b></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">1) Traffic Management</span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">2) Catching Criminals</span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">To download MATLAB code and working for this project click on below button</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<div style="text-align: center;">
<span style="font-family: Georgia, 'Times New Roman', serif; font-size: large;"><a class="big green button" href="http://www.4shared.com/get/mH9ME7F4/detecting_and_counting_the_num.html" target="_blank">Download</a> </span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-66179125066513476162013-12-22T06:37:00.000-08:002018-05-24T05:20:37.586-07:00ATMega16 Microcontroller based Digital LM35 LCD Thermometer<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">In this ATMega16 project we will be designing and implementing a Digital Thermometer with the aid of a Atmel AVR ATMega16 microcontroller, a LM35 temperature sensor/transducer and an alphanumeric LCD module. The code was implemented and built using AVR Studio 5. </span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj9lOrpiaSYJqDCV5xTpFctEJI8tP0yb5bOHi_zrIe5vPkr2IisqGH40pwtHvDGzdA6qXBDajO6zyMILwZSkbVuFvfBOtTEh3hknrsGAIVU393iaSAkBjPwHX4d1IL7Fg6R37GTGztEL7mU/s1600/ATMega16+Microcontroller+Digital+LM35+LCD+Thermometer.JPEG" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img alt="ATMega16 Microcontroller based Digital LM35 LCD Thermometer" border="0" height="382" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj9lOrpiaSYJqDCV5xTpFctEJI8tP0yb5bOHi_zrIe5vPkr2IisqGH40pwtHvDGzdA6qXBDajO6zyMILwZSkbVuFvfBOtTEh3hknrsGAIVU393iaSAkBjPwHX4d1IL7Fg6R37GTGztEL7mU/s640/ATMega16+Microcontroller+Digital+LM35+LCD+Thermometer.JPEG" title="ATMega16 Microcontroller based Digital LM35 LCD Thermometer" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: small;">ATMega16 Microcontroller based Digital LM35 LCD Thermometer</span></td></tr>
</tbody></table>
<div style="text-align: center;">
<br /></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Here LM35 (temperature sensor) detects the temperature and passes the signal to the microcontroller. This input data is processed and according to code the output is generated on port 1 of microntroller. Pin no. 27 to 29 of ATMega16 are used to control the operation of LCD.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To download the code for this project click on below button </span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><a class="big green button" href="http://j.gs/AzZm" target="_blank">Download</a></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> </span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-91755596664258021592013-12-01T07:07:00.000-08:002013-12-01T07:07:50.455-08:00Removal Of Periodic Noise in Image<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Periodic noise is the spatial kind of noise that cannot be eliminated in the spatial domain. To remove this noise we have to work in the frequency domain. So how to remove this periodic noise? Here is the code for removing periodic noise. Since the filter response H(u,v) is not centered we can't use high pass filters such as Ideal, Butterworth, Gaussian. To remove this noise we require a filter who has H(u,v) having two square dots near the center.</span><br />
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">To download the code click on below button :</span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><a class="button green big" href="http://www.4shared.com/get/OtTcWGco/Periodic_noise_in_square_image.html" target="_blank">Download</a></span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-58769237114589409452013-08-04T07:00:00.000-07:002013-08-04T07:12:52.317-07:001 Hz square wave generator<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">Accurate 1 Hz square wave pulses are required in stopwatches and other digital circuits. Here is a low-cost, general-purpose 1 Hz signal generator without using a crystal oscillator.<br /><br />230V, 50Hz, single-phase AC mains is stepped down by centre-tapped transformer X1 to deliver a secondary output of 9V-0-9V at 100 mA. The transformer output is rectified by a full-wave rectifier comprising diodes D1 and D2 and filtered by capacitor C1. This provides required DC voltages for operation of the electronic circuit.</span><br />
<div class="separator" style="clear: both; text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjcwlBl4i8Jrb60JgTNi0YpNzGBszNuSRFQ6ppAHfyDkO96KQdFPbE-TvtWNi1FNKtUKCG6bo_AvIztqR7378JLMOxN5tEr1ZxVKDUFTOCPQkFrgQ3uiN-05ROdtF9UNoE_ShdNdsRX9fP1/s1600/1+KHZ+GENERATOR.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Square wave" border="0" height="382" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjcwlBl4i8Jrb60JgTNi0YpNzGBszNuSRFQ6ppAHfyDkO96KQdFPbE-TvtWNi1FNKtUKCG6bo_AvIztqR7378JLMOxN5tEr1ZxVKDUFTOCPQkFrgQ3uiN-05ROdtF9UNoE_ShdNdsRX9fP1/s640/1+KHZ+GENERATOR.png" title="Circuit Diagram" width="640" /></a></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">
</span>
<br />
<div style="text-align: center;">
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">
<br />The 50Hz input signal is also taken from the secondary winding of transformer X1 and fed to clock pin 14 of decade counter CD4017 (IC1), which is wired as a divide-by-5 counter via resistor R1. IC1 now produces 10Hz output at its pin 12, which is further given to the clock pin of another IC CD4017 (IC2), wired as a divide-by-10 decade counter. The output of IC2 can be seen as a 1Hz clock pulse on the screen of the oscilloscope and also as one flash per second on LED1 connected in series with the output load (resistor R2).<br /><br />After construction and testing, enclose the circuit in a suitable cabinet. This circuit can be easily assembled on a veroboard.</span><br />
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;">To download PCB layout for this project click on below button</span></div>
<div>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
<div>
<div style="text-align: center;">
<a class="big green button" href="http://www.4shared.com/get/zh3xpANR/1_hz_square_wave_generator.html">Download</a>
<span style="font-family: Georgia, Times New Roman, serif; font-size: large;"><br /></span></div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-23104092015527900962013-07-12T23:31:00.001-07:002018-05-24T05:26:13.189-07:00Digital Voltmeter using AT89S51 microcontroller<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">This project is designed to get the voltage value to be display on the digital display. In this project we used AT89S51 microcontroller to control and generate the signals. ADC0808 converts the analog voltage value into digital value. This digital value is applied to the controller and controller will generate the signals to show the digital value on the display.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh2LOqMrkJ9mYJBz-hNrAvUIlE0GN2Lk9YJdJwhiEGWKfSPYp6zvc0hzw56hDMgNzme8F4ozeJPLLQZ87T6pllHOioq-CnM6MBnWzr9gZ8nKrjcaYUmU8hGwGq52gehvk3BeVGomERQ6l1m/s1600/7+segment+display.JPG" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img alt="7 segment Display" border="0" height="262" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh2LOqMrkJ9mYJBz-hNrAvUIlE0GN2Lk9YJdJwhiEGWKfSPYp6zvc0hzw56hDMgNzme8F4ozeJPLLQZ87T6pllHOioq-CnM6MBnWzr9gZ8nKrjcaYUmU8hGwGq52gehvk3BeVGomERQ6l1m/s640/7+segment+display.JPG" title="7 segment Display" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><br /></td></tr>
</tbody></table>
<br /></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Here as you can see the circuit measures the value of the voltage across variable resistor R10. As we change the resistance of the pot, the voltage across it also changes. Therefore the change on display also takes place. If we want to measure the analog voltage across two points then connect pin no. 26 of ADC 0808 to one point and another point to ground.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<br />
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiGpuLAvQvAvxQ0IABIaYY106P4saLAEsa_X_n3aLC3mFTGDSLrG8M8_mIk7XN9JGY1ZuhTKnpHQXAvDMoDfHYUTF2fpP9Hz6hg-xgwFs0SdbbvwyuyeF6w13hLx44n62j6fxCpP0IWXP-X/s1600/8051-based-digital-voltmeter-using-adc0808.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img alt="Digital Voltmeter" border="0" height="640" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiGpuLAvQvAvxQ0IABIaYY106P4saLAEsa_X_n3aLC3mFTGDSLrG8M8_mIk7XN9JGY1ZuhTKnpHQXAvDMoDfHYUTF2fpP9Hz6hg-xgwFs0SdbbvwyuyeF6w13hLx44n62j6fxCpP0IWXP-X/s640/8051-based-digital-voltmeter-using-adc0808.png" title="Digital Voltmeter using AT89S51 microcontroller" width="526" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: small;">Circuit Diagram</span></td></tr>
</tbody></table>
<div style="text-align: center;">
<br /></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Pin no. 25 to 28 are the address pins to select the analog input. Since the pins are connected to ground ADC will convert the analog input at IN0 pin (pin no. 26). The binary value of analog voltage is generated at the output of the ADC 0808.</span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To download the proteus simulation file and codes for this project click on below button </span><br />
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<a class="button green big" href="http://j.gs/1161332/digital-voltmeter">Downlaod</a>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-83912901645803013432013-07-07T06:51:00.002-07:002016-02-23T22:32:31.669-08:00Antenna Preamplifier for AM Radios<div dir="ltr" style="text-align: left;" trbidi="on">
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">AM radios are still used for capturing transmissions from long distances (DX reception). But AM radios normally require long (10-30m) outdoor antennae. That is easy if you live in a village but not always practical in urban environments. Antennae of 2-5m length are easy to install and maintain but the signal from them can be very weak. </span></div>
<div style="text-align: justify;">
<br /></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">If you have no option but to use a relatively short antenna, you can improve the AM reception by adding the proposed low-noise antenna preamplifier for AM signals. Its operation range is 150-1700 kHz, covering the long-wave (LW) and medium-wave (MW) ranges.</span></div>
<br />
<div style="text-align: center;">
<b style="font-family: Arial, Helvetica, sans-serif; font-size: xx-large;">Circuit and working</b></div>
<div>
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhs_H6wcl51PRq04CjmrxF52GjvVrgfG9NjHSER9L88nM1hV3V32W4632P_1P_kWxXddfRzDwAqhPHQqc0v9-unCyckohKR-IbAOLUbl44HyoAjVltmfbsAp_PpGHA5QhAzbTa9k9e5hRMe/s1600/simple-antenna-preamplifier-for-am-radios.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Antenna AM Preamplifier" border="0" height="294" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhs_H6wcl51PRq04CjmrxF52GjvVrgfG9NjHSER9L88nM1hV3V32W4632P_1P_kWxXddfRzDwAqhPHQqc0v9-unCyckohKR-IbAOLUbl44HyoAjVltmfbsAp_PpGHA5QhAzbTa9k9e5hRMe/s640/simple-antenna-preamplifier-for-am-radios.png" title="Simple Antenna Preamplifier for AM radios" width="640" /></a></div>
<div style="text-align: center;">
<br /></div>
<div>
<br />
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Above figure shows the circuit of antenna preamplifier for AM radios. The input of the preamplifier is protected with capacitor C1, resistor R1, and diodes D1 and D2. Transistor T1 required for this application should be low-noise with moderate or high gain. For LW and MW ranges, BC550, BC547 and similar transistors will work well. It is important to keep the collector current at least 2 mA. In most cases, 2-5mA current is appropriate and can be adjusted with resistor R3. Larger currents, e.g. 10 mA, may improve the volume but reduce the battery life. Capacitor C2 separates the preamplifier from the AM radio. The power supply is +5V from the regulator 7805 (IC1). AC or DC power supply in the range of 8-15V may be applied to connector CON1. </span></div>
</div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjyCknkmM_A8e_h5ajWNHYGROtFwR4haEcsuleyGkct7tsQ264e1TmrDoh92-VlPdbFIFCXP5hiz82KUQL8432yKv6xbk6473Z1N43XPuhJbeCKeLAdHaUiJv8UItQyBYrtVwCDDjrbrBwo/s1600/table.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Antenna Radio" border="0" height="336" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjyCknkmM_A8e_h5ajWNHYGROtFwR4haEcsuleyGkct7tsQ264e1TmrDoh92-VlPdbFIFCXP5hiz82KUQL8432yKv6xbk6473Z1N43XPuhJbeCKeLAdHaUiJv8UItQyBYrtVwCDDjrbrBwo/s400/table.png" title="Test Points" width="400" /></a></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<div style="text-align: center;">
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The working of the circuit is simple. The preamplifier circuit provides a gain higher than 10 in the LW and MW range. The amplified signals are fed to antenna input of the AM radio receiver for better reception. The circuit can also work for short-wave (SW) range, depending on the quality of practical implementation.</span></div>
</div>
<br />
<div style="text-align: center;">
<span style="font-family: "arial" , "helvetica" , sans-serif; font-size: x-large;"><b>Construction and testing</b></span></div>
</div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<br />
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The antenna should be at least 2m long and preferably mounted outside the building at least half a metre away from the walls in order to have noticeable improvement in reception. If you keep the antenna near walls or electrical cable installation, there may be reception issues and the antenna may capture too much noise. When there is possibility of lighting storms in the area, the antenna must be disconnected from the preamplifier.</span></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The preamplifier can work off a 4.5V dry battery connected to connector CON2. Use of batteries in the range of 3V to 15V is recommended because the mains power supply may introduce some noise.</span></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The preamplifier does not use expensive components. It will work immediately after proper assembly is done and show improvement in the reception of stations with low level of AM signal. The improvement depends on the location and length of the antenna. If you use the antenna in a room, you should put it on a window in order to increase the useful signal and reduce noise from other installations in the building.</span></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To test the circuit for proper functioning, check the input supply at test point TP1 with respect to TP0. The 5V supply to the preamplifier can be checked at TP2. Test the gain of the circuit by giving some input signal, using ordinary sinusoidal signal generator, to the preamplifier and checking the output at TP3, using an oscilloscope. For a 10mV, 1MHz signal at the input (antenna), you should get around 100 mV at TP3.</span></div>
<br />
<br />
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To download the PCB layout and component layout click on below button</span></div>
<div style="text-align: center;">
<a class="btn green big" href="http://www.4shared.com/get/tLGTrxp9/47B_Archive.html">Download</a>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-90042019889061238312013-06-27T23:58:00.000-07:002018-05-24T05:31:32.909-07:00Stepper Motor Control using 8951 Microcontroller<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Stepper motor is operated by energizing the stator coils in an ordered sequence. When the input sequence of signal is applied to the motor leads, it starts rotating in steps.<br /> <br />AT89C51 microcontroller has a current rating of 50mA. It can neither source nor sink huge current. ULN2003 is high voltage and high current Darlington array IC. Each input-output pair in ULN2003 acts as an interface between the end points of the stepper motor and port pins of the microcontroller.<br /> <br />Port P2 of AT89C51 is configured as the output port to provide input sequence to four input pins of ULN2003. The output of ULN2003 accordingly helps in driving the motor.<br /> <br /><table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhDJSknYnKZdZyUxWVFXN0M80V0mXU8lCCK_6ZEUxUUcFMk1zXaVqWSdRcDVpZhIaAgfGo32zsUnjg19h0uWDTTvLfvjT0juWKxMFHbk-NVayt1RUz9T1C3VvVOq3I_7AqiQhMecjmY9KWO/s1052/stepper-motor-control-using-8051.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img alt="Stepper motor" border="0" height="398" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhDJSknYnKZdZyUxWVFXN0M80V0mXU8lCCK_6ZEUxUUcFMk1zXaVqWSdRcDVpZhIaAgfGo32zsUnjg19h0uWDTTvLfvjT0juWKxMFHbk-NVayt1RUz9T1C3VvVOq3I_7AqiQhMecjmY9KWO/s640/stepper-motor-control-using-8051.png" title="Stepper Motor control using 8951" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-size: small;">Circuit Diagram</span></td></tr>
</tbody></table>
</span><br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
To work with the unipolar stepper motor, the common points are connected to either Ground or Vcc and the end points of both the phases are usually connected through the port pins of a microcontroller. In present case the common (Green) wires are connected to Vcc. The end points receive the control signals as per the controller's output in a particular sequence to drive the motor.<br /> <br />Since the coils related to each phase are arranged in alternate manner, the end points of two phases are energized in alternate fashion to rotate the motor. This means that the voltage signal should be applied to first end point of Phase1 and then to the first end point of the Phase2 and so on.<br /> </span><br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>1. Wave Drive Stepping Mode</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
</span>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The above mentioned sequence is repeated to rotate the motor in Wave Drive Stepping Mode. The direction of rotation can be clockwise or anti clockwise depending upon the selection of end points.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgqDXnUr-7eLEn19xktBvcYqKfOe02ai032PHfIjlb8GbRUe8JVOxGgkQtniRCySv6TldL3R6yOt4wFzuuIxaJNOj0YNmU-fpsFT2jOdUDNO1biI6ABoPOEQPswvg2ni0g8jVGL2lqal0wD/s704/wave+drive+stepping+mode.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Wave drive stepping mode" border="0" height="206" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgqDXnUr-7eLEn19xktBvcYqKfOe02ai032PHfIjlb8GbRUe8JVOxGgkQtniRCySv6TldL3R6yOt4wFzuuIxaJNOj0YNmU-fpsFT2jOdUDNO1biI6ABoPOEQPswvg2ni0g8jVGL2lqal0wD/s640/wave+drive+stepping+mode.png" title="Wave drive stepping mode" width="640" /></a></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span>
<br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><b>2. Full Drive Stepping Mode</b></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
<br />The Full Drive Stepping can be achieved by energizing two endpoints of different phases simultaneously. </span><br />
<div class="separator" style="clear: both; text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhWKcWsceIPslhTdh1S0_7mmSnmc6ol4W5gYfNmcr2qAFVfwvMsGHtQvr2d0gtTPf829EcsPkfpKMf5C5UnJLK1NX340jG1QMwWGvKSHAp2TDLujVDHYeZ2x_ErC_oRPNOQr5mB6QTHFwBk/s704/full+drive+stepping+mode.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Full drive stepping mode" border="0" height="206" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhWKcWsceIPslhTdh1S0_7mmSnmc6ol4W5gYfNmcr2qAFVfwvMsGHtQvr2d0gtTPf829EcsPkfpKMf5C5UnJLK1NX340jG1QMwWGvKSHAp2TDLujVDHYeZ2x_ErC_oRPNOQr5mB6QTHFwBk/s640/full+drive+stepping+mode.png" title="Full drive stepping mode" width="640" /></a></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
</span>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
<br /><div style="text-align: center;">
<b>3. Half Drive Stepping Mode</b></div>
<br />The Half Drive Stepping is achieved by combining the steps of Wave and Full Drive Stepping Modes. This divides the stepping angle by half.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEilkHRGp0Lgj_Y6dMTfVauB1VzoX_UbF8b9E7XTm59cT3MuQtJu3oXbZj94lQpTjjKNVmnS8Z7LuqUxgEOkl26fJEJYze-7uEuUDpy1sqsRVt1BTNqU-YzlDgmCk7lxb99wU35dRA_meVtV/s704/half+drive+stepping+mode.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Half drive stepping mode" border="0" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEilkHRGp0Lgj_Y6dMTfVauB1VzoX_UbF8b9E7XTm59cT3MuQtJu3oXbZj94lQpTjjKNVmnS8Z7LuqUxgEOkl26fJEJYze-7uEuUDpy1sqsRVt1BTNqU-YzlDgmCk7lxb99wU35dRA_meVtV/s640/half+drive+stepping+mode.png" title="Half drive stepping mode" width="640" /></a></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To download the code for this project click on below button</span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<a class="button green big" href="http://5070fb70.linkbucks.com/">Download</a>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-54664507329067849982013-06-24T06:56:00.000-07:002018-05-24T05:33:36.630-07:00Automatic plant Irrigation using 8051 microcontroller<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The Project presented here waters your plants regularly when you are out for vocation. The circuit comprises sensor parts built using op-amp IC LM324. Op-amp's are configured here as a comparator. Two stiff copper wires are inserted in the soil to sense the whether the Soil is wet or dry. </span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br />The Microcontroller was used to control the whole system it monitors the sensors and when more than two sensors sense the dry condition then the microcontroller will switch on the motor and it will switch off the motor when all the sensors are in wet. The microcontroller does the above job it receives the signals from the sensors, and this signals operated under the control of software which is stored in ROM.</span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<table align="center" cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhTY4s-vEKgHYNWcYvBTnbTtJ2KRrJvoKva5VBqcE-GwVPJ8R7v4p4AS6SccYv7vcdJKIaJtARNltImDHEWbWChP9ZkPBy8zqmbq05Jec43jK8fc5s_bGAxKk-y8dC8_3b5ELTZv-inZW_v/s1600/automatic-plant-irrigation.png" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img alt="Automatic Plant Irrigation" border="0" height="398" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhTY4s-vEKgHYNWcYvBTnbTtJ2KRrJvoKva5VBqcE-GwVPJ8R7v4p4AS6SccYv7vcdJKIaJtARNltImDHEWbWChP9ZkPBy8zqmbq05Jec43jK8fc5s_bGAxKk-y8dC8_3b5ELTZv-inZW_v/s640/automatic-plant-irrigation.png" title="Automatic Plant Irrigation using 8951 microcontroller" width="640" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-family: "georgia" , "times new roman" , serif; font-size: small;">Circuit Diagram</span></td></tr>
</tbody></table>
<div>
<br /></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To download the code for this project click on below button</span></div>
<div style="text-align: left;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div style="text-align: center;">
<br />
<a class="button green big" href="http://090b3f10.linkbucks.com/">Download</a>
</div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.comtag:blogger.com,1999:blog-7334616889745090736.post-79030864152765869892013-06-23T06:20:00.000-07:002018-05-24T05:35:33.524-07:00Ultrasonic Distance measurement on 7 segment LED using 8051 microcontroller<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">This project is used to measure and display the distance using ultrasonic sensor. Here ultrasonic sensor is sensing the distance and send the signals to the microcontroller AT89C2051. Microcontroller will give the output depending upon the program. The output is given to three 7 segment Displays. The display which we used is LTS543. </span><br />
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgXrEfannKi59-SLKNh-gjI2xvi2OG9aNI_7HXWXcRraBQt3QwyupH3vgxoC0F3UmdhyphenhyphencpGE9cJlTv-QNK-kKr0rFi6QyGRBotJPipwCpk0cC_KUM2ai86HxbZ6BiNPXLUgPVBl7ek3xVUs/s1600/ultrasonic-distance-meter-using-8051-microcontroller.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="7 segment led" border="0" height="356" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgXrEfannKi59-SLKNh-gjI2xvi2OG9aNI_7HXWXcRraBQt3QwyupH3vgxoC0F3UmdhyphenhyphencpGE9cJlTv-QNK-kKr0rFi6QyGRBotJPipwCpk0cC_KUM2ai86HxbZ6BiNPXLUgPVBl7ek3xVUs/s640/ultrasonic-distance-meter-using-8051-microcontroller.png" title="Ultrasonic Distance measurement using microcontroller" width="640" /></a></div>
<div style="text-align: center;">
<br /></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">They will display the distance which is measured. The distance is in centimeters. The ultrasonic sensor used in this project if from Rhydolabz. It's part no. is </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">SEN-1155</span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">.Rhydolabz's "ECHO" Ultrasonic Distance Sensor with ASCII serial O/P is an amazing product that provides very short to long-range detection and ranging. The sensor provides precise,Stable non-contact distance measurements from about 2cm to 4 meters with very high accuracy. </span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhV1Eg8WD63e0BwCDk65TINNh-EOGkOngqVcoY1kse9c9DxIy1Unk7fnqahNHBLNzaqQJg_JThVAIEM4SJADUmapGczJkSSugtIuQTGA3JD47H42p9F315FsVnOYIkoK2YgQOwW00fiwSBK/s1600/ultrasonic-blue_LRG.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img alt="Rhydolabz SEN-1155" border="0" height="338" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhV1Eg8WD63e0BwCDk65TINNh-EOGkOngqVcoY1kse9c9DxIy1Unk7fnqahNHBLNzaqQJg_JThVAIEM4SJADUmapGczJkSSugtIuQTGA3JD47H42p9F315FsVnOYIkoK2YgQOwW00fiwSBK/s400/ultrasonic-blue_LRG.jpg" title="Rhydolabz SEN-1155" width="400" /></a></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">Its compact size, higher range and easy usability make it a handy sensor for distance measurement and mapping. The board can easily be interfaced to microcontrollers RX pin ( USART) . At every 50ms sensor transmits an ultrasonic burst and send out ASCII value of distance that corresponds to the time required for the burst echo to return to the sensor.</span><span style="background-color: #fafafa; color: #666666; font-family: "verdana" , "helvetica" , "arial" , sans-serif; font-size: 12px; line-height: 20px;"> </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"> </span><span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">The Atmel AT89c2051 is used to receive the serial data from the sensor and it parse the serial data. The distance is displayed over the 7 segment display in centimeters. The Range of the sensor is from 2 cm to 4 m. The output baud rate is 9600bps. </span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To download the code for this project click on below button.</span></div>
<div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
<div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">
<a class="button green big" href="http://70dedfc6.linkbucks.com/">Download</a>
</span></div>
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /></span></div>
</div>
<div>
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;">To buy the ultrasonic sensor click on below button. </span><br />
<div style="text-align: center;">
<span style="font-family: "georgia" , "times new roman" , serif; font-size: large;"><br /><a class="button green big" href="http://www.rhydolabz.com/index.php?main_page=product_info&cPath=137_144&products_id=308">Buy</a></span></div>
</div>
</div>
</div>
Kiran Manchekarhttp://www.blogger.com/profile/15197754240315392488noreply@blogger.com